厳封された証明書が出てくるのを待つ

今日は2人の学生に内々定が出たようだ。1人はうちの研究室の学生。

すなわち、これで僕以外の2人は進路が決まったと言うことになる。なんということだ。

もう1人はとある特定独立行政法人に決まったようで。すなわちは国家公務員である。

まぁ特定独立行政法人なんて8つしかないんだけどね、しかも高専卒でいける法人なんて2つぐらいしか……

なんにせよ就職の人はどんどん決まっていっているようです。


一方、進学の人はいまからですね。

というわけで今日は学生課に調査書を作ってくれと書類を出しに行った。

調査書の指定用紙を添えて持って行くと、調査書には自分で名前などは書いてくれと言われた。

厳封して送られるはずの書類に自分が書くとは変な感じ。

成績などは学生課の人が書いてくれるはず。


ここで出てきた厳封という言葉だが、就職にせよ進学にせよよく出てくる言葉だ。

証明書などを封筒に入れて封をして、封筒のとじ目に封緘印を押したものです。

これで封をされた後に開けられていないことを保証するわけです。

もし開けられてたら、その証明書は無効になるわけです。


しかし、成績証明書を厳封してもらったという話はよく聞くが、住民票を厳封してもらったという話は聞いたことがない。

まぁ住民票を偽造するのは難しそうだが。用紙が特殊ですからね。

実にその通りらしく、厳封しなくて足りる学校もあるらしい。

各種証明書は、偽造防止用紙で発行しています。 通常はこのままで証明書として使用できますが、特に厳封でなければならない場合は、「厳封交付」と明記してください。

(大阪成蹊短期大学 各種証明書の発行について)

とはいえ、うちの学校は普通の白い紙に印刷したものしか出してこないはず。

まぁそれでも偽造できるのかと言われるとようわからんが。


その封緘印だが、面接に持参するためにその厳封された証明書を持ち歩いてたクラスメイトに見せてもらって驚いた。

緘 っていうだけの判子だったんですね。

てっきり学校の角印を押すもんだと思ってたんですよね。学校で封をしたということがわかりますからね。

というかむしろそうじゃないといかんと思ってたんだがな。

この 緘 っていう判子だけ入手できれば、封を破って書き換えて、それでもう一度封をしてもいけそうですよね。

まぁそんなことしないけど。


そんなわけで証明書を出るのを待つわけですが、どうも推薦以外は願書は自分で郵送するようです。

おそらく厳封された証明書をもらって、これを入れて送ることになりそうです。

一方、推薦の人は願書を出したら送ってくれるようです。というか要項を見ると学校が送付することになってるし。

それにしてもバラバラで送るのは正直無駄だよね。しゃあないんだけど。

とんでもない人数が出願するのでまとめてやってくれると誰もが嬉しいと思うんですけどね。

まぁなかなかそうもいかんか。

船に乗ったらいろんなところにいけるかな

ふと駅すぱあとの路線図を見てたら港が出てきた。

駅すぱあとには航路も入ってるんですね。最近航路だけの路線図も入るようになった。

瀬戸内・四国近海の航路図なんかかなりおもしろい。


ふと、南港へ行ったときのことを思いだした。

南港からはいろいろフェリーが出ている。

  • 別府国際観光港 (関西汽船・毎日1便)
  • 東予・新居浜 (オレンジフェリー・毎日2便)
  • 新門司港 (名門大洋フェリー・毎日2便)
  • 那覇 (マルエーフェリー・週1便)
  • 志布志 (ダイアモンドフェリー・毎日1便)
  • 宮崎 (宮崎カーフェリー・毎日1便)
  • プサン (パンスターライン・週3便)
  • 上海 (上海フェリー・週1便)

とまぁいろいろ。国内だけでなく国外へもいけてしまったりする。

ちなみにこのフェリーの出ている場所は結構バラバラで、

関西汽船がATCにあるコスモフェリーターミナル、オレンジフェリー・名門大洋フェリーは昔からあるフェリーターミナル、

ダイアモンドフェリー・宮崎カーフェリーはかもめフェリーターミナル、外国航路は国際フェリーターミナルと。

関西汽船だけやたらいいところにありますね。


しかし多くの行き先は陸伝いにいけるところですね。

いや、確かに四国も九州も海を挟んでるけど、橋でわたれますからね。

今はそちらの方が経済的ですし。フェリー会社が高速道路の料金上限制などで経営難になってるというが当たり前のこと。

それが妙に高い料金設定のせいで使われてこなかったんだから、いままでがおかしかったわけだ。

橋の両岸を移動するのに船を使うことほど無駄なことはない。

まぁ大阪の渡し船にはそういうのあるけどね。橋があまりに高すぎて歩行者・自転車には使いにくいからなんだけど。

しかし、長距離になってくると事情も変わってくる。


長距離の運転は大変だ。実際にやったことないから知らんけど。

その長距離の運転を放棄してフェリーにのせればおしまいと。こりゃ便利ですね。

多分メインのターゲットは自動車なんだと思いますよ。

特にトラックとかね。やはりトラックも長距離運転するのは大変だ。

鉄道コンテナとかフェリーとかはいい回避方法。フェリーの場合、運転手を休息させながら輸送できるのもよい。

あと無人での輸送もやってるようで。RORO船の要素もあるようです。(参考記事 : 野へ海へ走るコンテナ )


ただ、人間だけで使うことももちろんできる。

しかもけっこう安い。例えば別府まで二等船室で8800円、しかもインターネットで買うだけで最繁忙期以外は2割引だ。

これはさすがに驚いた。別府まで7040円でいけてしまう。

長距離で海伝いだと高速バスだけでなく、フェリーも考慮に入れるべきかね。

フェリーのいいところはスペースはたくさんあるから寝転べる。二等船室は雑魚寝できるようになってる。

それはちょっとというのなら、二等寝台という二段ベットの部屋もある。3割増ぐらいするんだけどね。

それよりもっといい船室もあるようですが、このあたりは船らしいところ。


南港の話を書きましたが、他にもありますよね。

日本海側の舞鶴港・敦賀港というのも重要ですよね。

敦賀港からは海伝いに新潟・秋田・苫小牧といくフェリーもある。すごいですね。

いやはやフェリーってすごいね。

入学要項が出るのが遅い!

今日は一緒にシンガポール行った学生たちと一緒に打ち上げに。

研究などのことで話が盛り上がった。


さて、豊橋技術科学大学から3年次入学の要項が届いた。

というわけで学生課に取りに行ったらもらえた。

後で聞いた話だが、その後しばらくたったら品切れになったらしい。

やっぱり人気ありますねぇ。

同時に届いた要項でもそれ以外はまだあるはずですし。


願書の提出が5月中旬から始まって末までだったから、今度の実験か卒研の時間に相談すればいいと思ってた。

だが、実際はそうでもなかったようで。

推薦入試を受けるクラスメイトが言うからには、出願開始日の2週間前までに学生課に出すルールらしい。

というのも、調査書などの作成には時間がかかる。

就職の場合はこれが1週間前までなのだが、進学の場合、特定の時期に集中するという事情もあるのだろう。さらに早い。

それをすっかり忘れてたのだが、もう1つ厄介なのが連休のこと。

その2週間前というのが来週の火曜日だったとはずだが、この日は休みだ。

さてどうしようというわけで指導教員に相談してみた。


まず、推薦の学生は推薦書の作成など時間がかかるので早めに出した方がいいだろうと。

ただ、後で埋めるということにして出せる分だけ学生課に先に出しておくというのも手だということ。

一方、学力の学生は推薦に比べれば手間はすくないので連休明けでよいだろうとのこと。

連休明けまでに写真を用意しておかんとね。


この手の入試というのは要項がでるのがとても遅い。

要項が出たら2週間前とかそんなのばっかり。

なんてったて専攻科の要項はまだ出ていない。

そんなことはないと思うが、もしかすると入試の日がかぶるかも知れない。

だけど願書の受付期限までに出さないと受験すら出来ない。

そうやって無駄に使われる受験料などもあるのだろう。

ちなみに国立大学の編入学の検定料は3万円です。けっこう高い。

高専専攻科は本科の検定料と同じ16500円なんですけどね。これだけ見ると高いように見えるが半額ぐらいなんですよ。


高専からの3年次編入学にしても、大学院入試にしてももうちょっと早く要項は出せないんでしょうかね。

4月初頭に全部の学校の要項がそろってればかなりやりやすいと思うんですけどね。

結局要項が出るまでは去年の実績から考えるしかないのかもね。困ったもんだ。

ネイピアの数は2個ないよ

ネイピア数は一意に定まることを示せ、と4年生の数学の授業の宿題に出たそうな。

僕はネイピア数というよりも自然対数の底というほうが好きなのだが。


自然対数の性質は微分したら 1/x になることですね。

ここから (ex)’=ex となることが導かれる。

さて、この宿題というのは、こうなるeは1つしかないことを示せと言うことらしい。

言われてみると、e=lim[x→0](1+x)1/x 以外にもあるのかもしれんよね。


とりあえず、e1,e2(e1≠e2)の2つのネイピア数があると仮定してみる。

すると(e1x)’=e1x, (e2x)’=e2x の関係が成り立つことになる。

これをカリカリ変形していって e1=e2 でなければならないことを発見するわけだ。

そうすればネイピア数は2つないことが証明できる。これ背理法ね。


どうすんだろと思った。初めは e1-e2 が0であることから示すのかと思ったがうまくいかない。

じゃあ、e1/e2が1であることから示すのかと思ったら、それでうまくいった。

具体的には e1x/e2x を微分してみる。商の微分公式を使うんですね。

(e1x/e2x )’={(e1x)’e2x-e1x (e2x)’}/(e1x e2x) =0

これは何を示してるか。e1x/e2x が(e1/e2)x が定数だってことですね。

xの値によらず一定になるのは e1=e2 となるときだけですよね。1x =1 だし。

というわけで証明できたわけだ。


しかし自然対数の底っておもしろいですよね。

e=lim[x→0](1+x)1/x が1つの数字に決まるのがおもしろい。ちゃんと証明できるらしいですけどね。

これを見ただけだとだいたい2.7になるなんて分かりませんからね。

どうやって求めたんでしょうね。小さなxを代入して計算したのかな。

TOEICスコアの大躍進

グラフ理論の教科書とノートを友人から貸してもらってビックリ! 教科書が教科書らしくない本だった。

ネタ帳とでも言うべきかな。パズル集みたいなものだった。まぁグラフ理論の本ではあるのですが。

そこで彼のノートが役立った。グラフ理論に関するいろいろな事項が書かれていた。

授業ではそのパズルを解くことに関連して言ってたってことですよね。まさにネタ帳。


今日TOEIC IPテストの結果が返ってきました。

さて上がってるかなとふたを開けてみると目標としていた470点を超えてた!

以前415点で、今回485点ですからかなり上がってますよね。この差はあきらかに実力が上がった証拠ですね。

主にReadingののびが顕著だ。単語を覚えたこと、それに関連して文章を見てきたことの成果でしょうか。

まさかここまでなってるとは思わなかった。


さて、結果の正答率のグラフを見ると、1つ妙に低いところがある。

それはReadingの「語彙が理解できる」の項の正答率が妙に低い。

あからさまに暗記が苦手な結果ですよね。

多少語句が分からんでも、類推したり歯抜けのまま解釈したりすることはできるんですよね。

ですが、語句の意味がわからんとできん問題もありますよね。

例えばここに当てはまるのはどれかとか、これと同じ意味はどれだとかそういうの。

難しい単語が多いですからね。どうにもならん面はある。


じゃあこれからどうやっていこうかということですが、やはり語彙を補強しようということになります。

が、なかなか難しいのは補強できんでと。

それよりかは簡単なのをたくさん補強した方がいいでしょうね。

そっちのほうがしょっちゅう遭遇するでしょうし、やっぱり役に立つでと。

そんなわけでsmart.fmを活用して補強していきたいなと。

1日30語ぐらいiKnow!でやってる。まぁ復習とかもあるから新しい単語はそんなに多くないんですけどね。


このあたりも鍛えまして10月にでも公開テストを受けましょうかね。

というのも500点を超えると単位認定があるんですよ。なんの意味があるかは定かではありませんが。

すでに400点超で1単位認定されてるんですが、さらに500点超でも認定されます。

次の目標はこれですよね。もうだいぶと近づいてきましたね。

あと15点なので、実は500点の実力があって下に振れただけという見方も出来るが、そんなことはないだろう。

ちょうど去年の10月にも公開テスト受けにいったので、この回はリピーター割引で600円ほど安くなるようです。


ところでReadingの話ばかりしてるがListeningはどうなんだというと、あんまり気にしてなかった。

といいますのもListeningは特段の対策をしなくてもそれなりに点数あるんですよね。

意外に思うかも知れないが、Listeningの方がよう出来る人は多い。なんや言うてできるらしい。

まぁそれにsmart.fmでは発音もしてくれるし、Dictationもあるし、耳からも学べるわな。

そう言う意味で言うと、何度も何度も見て聞いて書いてとやるのは無駄なようでとても意味があるのかもね。

ぼちぼちやっていきましょう。

伊丹空港が会社化するんだって

関空と伊丹空港の経営統合の話がニュースに出てる。

伊丹空港の空港の運営とすでに会社が行っているターミナルビルの運営を統合して会社化して、

そして関西国際空港株式会社とともに持株会社を構成し、その持株会社の株を国が保有すると言う方法でやるらしい。

伊丹空港の土地・建物は国の保有のままとのこと。


なんでこんなことするのかというと、まず1つは伊丹空港は儲かってて、関空は借金がひどいから。

関空は建設費がかさんでて、この借金は関空会社のものなので借金がとにかくひどい。

ただこれは赤字って意味じゃないんですよ。2004年度以降、合理化や補給金により黒字になっています。

補給金で黒字っていうのもあれなんだが、借金の利子がかさんでるからこれを補給するお金ね。

そう言う意味で言うと借金が足を引っ張ってる空港という説明がぴったりあってる。

一方、伊丹空港は借金もないし、大阪都心に近いため国内線の発着も多くかなりの黒字。

環境対策にお金がかかってるものの、それでも黒字。


そこでこの2つの空港を経営統合して、伊丹空港の収益で借金を圧縮しようとなったらしい。

あと近接する空港なので、2つの空港の間で最適化ができるんじゃないかという期待もあったのかも。

伊丹空港は都心に近いので近距離路線には特に効果を発揮するが、国際線との乗り換えには難がある。

関空は国際線との乗り換えはよく、国内線も多方面に設定できれば国内のハブにもなれるかもしれない。

ただ、全部関空に持ってくればいいのかというとそういうわけでもないだろうからね。

何てったって現状は航空会社に嫌われて路線が設定されないという有様だから。

ここで航空会社を誘うための着陸料の値下げなどが出てくるわけだが、このためには伊丹空港の収益が役立つ。


ところで神戸空港は入ってませんね。

というのも神戸空港は神戸市の運営する地方空港なんですよね。

そのため国際空港ということになってる関空・伊丹とは事情が違うんですよね。

とはいえ、神戸空港も含めると最適化の余地はさらに広がる。

三宮からポートライナーですぐだし、高速船で関空に行けるので関空を補完する空港にもなり得るかな。


これでどう変わるのか、わからない面もあるけど、いろいろ関空に有利になりそう。

うちからだと関空に行く方が便利だったりする。まぁ伊丹でもリムジンバスに乗れば普通に行けるが。

大阪都心からのアクセスが悪いと言ってるが、あれは主に梅田からのアクセスのことですね。

難波からだと今でもほぼ同じぐらいだったはず。南海は結構使える。

梅田などからのアクセスもよくして、さらに高速化できれば十分大阪都心からも近くなりそうですね。

高専の少ない女子学生

最近、高専の女子学生も増えてる気がする。

入学したときに比べると格段に増えてるね。

宣伝の効果なのかな。興味を持って入学してくれる人がいることはよいことです。


さて、先日学内を歩いていて気付いたのだが制服でズボンをはいた女子学生がいた。

実は、僕が入学した年度に女子学生の制服が変わりました。

で、そのときスカートとズボンの2つの制服が定められてどちらでもよいとなったようです。

とはいえ、スカートをはいてる学生しか見たこと無かった。

多分少数派なんだろうけど、ちゃんといるみたいね。

女子学生の数が増えたから遭遇率が上がったのかな?


増えたとは言うけど、やっぱり女子学生は少ない。学科による差もあるが総合的には1/4もいないかな。

そんなわけでちょっと女子学生について特別な取り扱いを取っている部分もある。

まず、体育の授業だが、中学校の時は2クラスセットにして男女でクラスを分けてたが、高専ではそれは無理なので一緒にやってる。

それはいいが、体育の授業の前には着替えがある。

そのとき女子学生は女子更衣室というのがあってそこで着替える。男子学生は教室で着替えてるね。

僕も詳しいことは知らんのだが女子更衣室には一人一人にロッカーがあるらしい。これは必要なんかね。


あと、内科検診も男女を分けてする必要があるが、ここでもそのため特別な取り扱いをしてる。

内科検診は大体午後からなのだが、午後一番に専攻科生・選択科目の都合授業のない学生と女子学生は集合する。

そしてまず女子学生の検診をまとめてやる。そして、その後、最初に集合した男子学生の検診をやる。

その後は授業しているところで授業を中断させてそのクラスの男子学生の検診をやる。

そのため女子学生は授業の頭に出席できず、逆に授業が中断しているもの暇をもてあますことになる。

まぁ不思議な話だが、女子学生が少ないのでそれでも問題ないのかも知れない。


高専に限らず工学系の教育機関は男女の学生の差が激しいですからね。

建築なんかは女子学生も多いらしいのだが、うちにはないのよ。

まぁそれでも女子学生が皆無というわけではないので、少ないながらに配慮が必要なわけですよ。

その工夫の結果がさっきの更衣室のこととか内科検診のことじゃないかなと思います。

グラフ理論を勉強すれば合格できる?

うちの高専の専攻科の入学試験問題をコピーして見てみた。

数学や英語はそんなに変な問題はないですね。

英語はTOEICのパクリみたいなので、さほど難しそうではなかった。ちょっと解かんことにはわからんが。

数学はよくある数学の試験問題ですね。線形代数あって微分積分あってという感じ。

問題は専門科目だ。


電気工学科と情報工学科に接続する専攻の入学試験なのでこのあたり配慮された作りになってる。

すなわち電気電子系の問題と情報系の問題がどちらもあって選択できるようになってる。

そういうのって多いですよね。

それでその問題は以下に示す5領域から3問選択なんですね。

  • 電磁気
  • 電気・電子回路(アナログ回路)
  • ディジタル回路
  • 計算機ソフトウエア
  • 情報基礎(情報理論・グラフ理論)

それで電気工学科出身の学生は前3つ、情報工学科出身の学生は後ろ3つを取ることが多いらしい。

電磁気と電気回路とアナログ回路はまぁいいんですよ。

問題はディジタル回路で、詳しいところはやってないんでわからんのもある。

「ファンアウトとは何か説明せよ」という問題に答えられなかったし。


しかも、不公平だなと思うのは計算機ソフトウエアと情報基礎の問題が妙に白いこと。

電磁気や電気・電子回路の問題に釣り合ってないように見える。

計算機ソフトウエアはようやらん面もあるが、情報基礎のうち情報理論は授業でやったので挑戦してみた。

すれば、すらすら解けてしまう。

すなわちグラフ理論を勉強して情報基礎を選択したほうがいい点数が取れるのではないかと。

一緒にみてた友人も同じことを思ったようで。

グラフ理論については用語が分かれば解ける問題も多いようですし。


というわけで専門科目についてはディジタル回路とグラフ理論の勉強をしましょう。

それでディジタル回路の教科書を読んでたが、結構忘れてるものね。

忘れてることを思い出して、知らなかったことを見てやればけっこういけそう。

そしてグラフ理論が目論見通りにいくかどうか。

まぁ他にも電磁気も復習せなならんか。

専門科目だからできるってもんでもなさそうだ。

就職のため公欠を取る

今日は卒業研究の日、H8でブザーを鳴らして遊んでた。

あと数学の問題解いたりしてた。数学は結構いけるが、専門科目とかチェックしとかんとな。

というのも電磁気とか怪しいところが多いことに最近気付いて。問題解いてチェックしませんと。


最近、就職試験のための欠席が多い。

これは言うまでもなく公欠だから欠席にならないのだが、授業に出ないことには違いがない。

ということはこの分は後で取り返す必要がある。

大会に参加したときに1日だけ公欠したことあるが、1日でも取り返すのは少々手間だった。

就職試験の場合は合計2日ぐらい休まなきゃならない人が多いですね。


公欠ならまだいいのだが、就職関係でそれ以外の欠席をする人もいる。

それは工場見学とか会社説明会とかね。

こういうのは公欠にならんそうです。公欠になるのは就職試験だけと。

ただ、1つやるせないと思ったのは、応募する人は必ず参加しなければならない会社説明会でも公欠にならんことね。

そういうのを平日にやるのはいかがなものかなと。


その公欠の場合、手続きとしては戻ってきてから公欠届を学生課に出すらしい。

事前に出すよりかは事後に出す方が勧められているらしい。

この後、学生課により授業担当者に報告されるわけだが、とにかく精度が悪い。

公欠届を出したのに欠席付いてるという苦情を言ってる人をたくさん見ている。

そこで、クラスメイトに伝言を頼んでおいて、公欠だよと伝えてもらうのがよさそう。

何のための公欠届なんだと思わんこともないが、正式の手続きはやらんとならんからね。


同じ研究室の学生が、昨日・今日と就職試験に行っていたのだが、内々定するでしょうかね。

うまくいきますようにと、H8をいじいじしながら祈ってたのだが。

やはり進路がどんどん決まってくれる方がいいですからね。

HDLをテストしてみる

今日は授業の合間を縫って、研究室のマシンにXilinxのFPGAの開発環境といろいろソフトウエアを入れてた。

いややっぱりMozilla Thunderbirdはいいですね。


さて、昨日の続きでVerilog HDLのテストの話。

その入れたXilinxの開発環境はISE WebPackとModelSimという2つのソフトウエアなんだが、

このうちModelSimの方でHDLの段階でのテストができる。

ということはわかったのだが、Xilinxの環境がx64には入らない。

すなわち家のマシンには入らんと。これじゃあ遊べんじゃないか。


というわけで Icarus Verilog を導入。完全に無償なのでなにかと気楽。

Windowsの人はここからもリンクがあるように Icarus Verilog for Windows からexeでダウンロードするといい。

インストールしてパスを通しておく。


昨日作ったJK-FFのテストジュールを作る。

module JKFFTest;
  reg J,K;
  wire Q;
  reg CK=1'b1;
  JKFF JK1(.J(J), .K(K), .CK(CK), .Q(Q));
  always begin
    #500	CK=~CK;
  end
  initial begin
    $monitor ("%t: J=%b K=%b Q=%b", $time, J, K, Q);
    $dumpfile("dump.vcd");
    $dumpvars(0,JK1);
           J=1'b1; K=1'b0;
    #1000  J=1'b0; K=1'b1;
    #1000  J=1'b0; K=1'b0;
    #1000  J=1'b1; K=1'b1;
    #1000  J=1'b1; K=1'b1;
    #1000  $finish;
  end
endmodule

とりあえずこんな感じ。

与える入力信号をreg、受け取る出力をwireで書く。

クロックの類は適当に用意する。always文を使うといいでしょう。

#500というのは500ステップ待ってという意味で、500ステップ待ってCKを反転させてとやる、すなわち1000ステップ周期のクロックができる。

それでテストする正味の内容もinitial文で書く。

テストの時は入力信号へ代入するのは=、順序論理回路の設計では<=を使うのだけどね。

それでいろいろ書いて最後に$finish;とする。


その結果を見るためのが$monitor・$dumpfileのあたり。

$monitorはシミュレーションの時に値の変化を表示してくれるの。

$dumpfileは波形をgtkwaveで見える形式で出力するの。

$dumpvarsの第二引数には観察するモジュールの名前とか変数とか書く。


それでIcarus Verilogでもシミュレーションの仕方ですが、

> iverilog -o JKFF -s JKFFTest JKFF.v JKFFTest.v
> vvp JKFF
> gtkwave dump.vcd

iverilogコマンドでコンパイルする。-sで動かすモジュールを決める。そしてvvpで動かす。

これで波形を書き出したらgtkwaveで見ればいい。


これで見てると昨日のJK-FFはJ=1かつK=1のときの動作を書き忘れてたことに気付いた。

module JKFF(J,K,CK,Q);
  input J,K,CK;
  output Q;
  reg Q;
  always @(posedge CK) begin
    if(J==1'b1 && K==1'b1)
      Q <= ~Q;
    else if(J==1'b1)
      Q <= 1'b1;
    else if(K==1'b1)
      Q <= 1'b0;
  end
endmodule

こんな感じですかね。

HDLの時点でいろいろ試すことができるのはいいですね。